CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 交通灯

搜索资源列表

  1. 交通灯实验报告

    0下载:
  2. vhdl交通灯实验报告-VHDL traffic lights Experimental Report
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:100203
    • 提供者:哈哈
  1. 交通灯 VHDL

    0下载:
  2. 交通灯 VHDL EDA
  3. 所属分类:源码下载

    • 发布日期:2010-12-15
    • 文件大小:29184
    • 提供者:princess7ing
  1. 交通灯VHDL

    1下载:
  2. 相关知识 本实验要设计实现一个十字路口的交通灯控制系统,与其他控制系统一样,本系统划分为控制器和受控电路两部分。控制器使整个系统按设定的工作方式交替指挥双方向车辆通行,并接收受控部分的反馈信号,决定其状态转换方向及输出信号,控制整个系统的工作过程。 路*通灯控制系统的有东西路和南北路交通灯 R(红)、Y(黄)、G(绿)三色,所有灯均为高电平点亮。设置20s 的通行时间和5s 转换时间的变模定时电路,用数码管显示剩余时间。提供系统正常工作/复位和紧急情况两种工作模式。
  3. 所属分类:文档资料

  1. 交通灯控制器

    0下载:
  2. 很久以前自己写的VHDL实现的交通灯控制器~ 动态数码管控制。altera平台
  3. 所属分类:VHDL编程

    • 发布日期:2011-11-12
    • 文件大小:334706
    • 提供者:congyong1988
  1. 基于VHDL语言的交通灯控制系统设计

    1下载:
  2. VHDL 交通灯控制系统 QuartusⅡ FPGA
  3. 所属分类:文档资料

  1. 两路十字路口的交通灯控制的VHDL源码

    2下载:
  2. 两路十字路口的交通灯控制的VHDL源码,毕业设计,,Two-way traffic lights at the crossroads of the VHDL source code control, graduation design,
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:77531
    • 提供者:wang
  1. VHDLtraffic.rar

    1下载:
  2. vhdl语言编写的交通灯程序,有完整的程序,仿真图,报告,language vhdl traffic light procedures, a complete procedure, simulation plans, the report
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1557468
    • 提供者:刘洁
  1. jtdvhdl

    0下载:
  2. 自己做的VHDL交通灯控制器;分频器、信号控制器、时钟模块;EDA; 通过了仿真、运行。时间可以设置为随意的两位数.-code and jpf
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-05
    • 文件大小:206852
    • 提供者:lulin
  1. traffic

    0下载:
  2. max_plus开发的 有max_plus就可以直接运行的交通灯制作 用vhdl语言编写的-max_plus development of max_plus can direct the operation of traffic lights produced by VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:9044
    • 提供者:chen jin can
  1. traffic

    0下载:
  2. 利用vhdl编写的模拟交通灯的程序,调试成功,欢迎大家使用。-Prepared by the use of VHDL simulation of traffic lights procedures, debugging success, welcome to use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1037425
    • 提供者:0508140110
  1. 2006112623122040

    0下载:
  2.  系统设置一个两位BCD码倒计时计数器(计数脉冲1HZ),用于记录各状态持续时间;  因为各状态持续时间不一致,所以上述计数器应置入不同的预置数;  倒计时计数值输出至二个数码管显示;  程序共设置4个进程: ① 进程P1、P2和P3构成两个带有预置数功能的十进制计数器,其中P1和P3分别为个位和十位计数器,P2产生个位向十位的进位信号; ② P4是状态寄存器,控制状态的转换,并输出6盏交通灯的控制信号 -e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1234
    • 提供者:宋勤
  1. VHDLjiaotongdeng

    0下载:
  2. 有关毕业设计交通灯的VHDL设计,包括源码程序和仿真图形相关报告。-Traffic lights on the graduation project of VHDL design, including source code and simulation procedures related to the report graphics.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1565938
    • 提供者:乐乐
  1. traffic

    0下载:
  2. 简单的交通灯,功能为红灯,黄灯,绿灯轮流亮,时间多少可以改变-Simple traffic lights, feature a red light, yellow light, green light rotation, how much time can be changed
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:932
    • 提供者:严作海
  1. traffic-VHDL

    0下载:
  2. 最简便的交通灯控制源代码 适合VHDL初学者 十字路口红绿灯控制,数码管显示-The most simple traffic lights to control the source code for VHDL beginners crossroads traffic lights control, digital display
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-23
    • 文件大小:1733
    • 提供者:何柯东
  1. traffic

    0下载:
  2. 交通灯的简单控制,实现了交通路口的指挥系统,但是该程序做的比较简单,功能不是很全面,和显示的交通路口的指挥有不符的地方-A simple traffic light control, to achieve the traffic at the junction of the chain of command, but the procedure is relatively simple to do, function is not very comprehensive, and display
  3. 所属分类:assembly language

    • 发布日期:2017-04-17
    • 文件大小:22919
    • 提供者:
  1. jioatongdeng

    0下载:
  2. 实现交通灯的基本功能,包括,红绿灯,特殊事件处理,倒计时等-The realization of the basic functions of traffic lights, including traffic lights, special event handling, countdown, etc.
  3. 所属分类:assembly language

    • 发布日期:2017-04-24
    • 文件大小:101318
    • 提供者:xianxu
  1. VHDl

    0下载:
  2. 基于VHDL实现的十字路口交通灯功能,其中包括红灯,黄灯,绿灯。-VHDL-based implementation of traffic lights at the crossroads of features, including red, yellow, green.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-24
    • 文件大小:24846
    • 提供者:李国村
  1. VHDL

    0下载:
  2. 基于FPGA交通灯控制VHDL源代码,东西,南北,主干,支干-FPGA-based control of traffic lights VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3130
    • 提供者:解密
  1. VHDL交通灯

    0下载:
  2. 利用VHDL写的交通等程序,代码在文档中,可以实现十字交通灯的各种状态模拟(Using the traffic program written by VHDL, the code can be used to simulate the various states of the cross traffic lights in the document.)
  3. 所属分类:文章/文档

    • 发布日期:2018-04-19
    • 文件大小:106496
    • 提供者:xderrr
  1. vhdl交通灯

    0下载:
  2. 实现十字路口两个交通灯的功能,完整实验报告,含源代码(The realization of the intersection of two traffic lights function, complete experimental report, including source code)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-12-22
    • 文件大小:1159168
    • 提供者:无与伦比的
« 12 3 4 5 6 7 8 9 10 ... 18 »
搜珍网 www.dssz.com